Search the Community

Showing results for tags 'manufacturing'.

The search index is currently processing. Current results may not be complete.
  • Search By Tags

    Type tags separated by commas.
  • Search By Author

Content Type


Forums

  • HWBOX | Main
  • HWBOX | Forum
    • HwBox.gr Ανακοινώσεις & Ειδήσεις
    • News/Ειδήσεις
    • Reviews
    • The Poll Forum
    • Παρουσιάσεις μελών
  • Hardware
    • Επεξεργαστές - CPUs
    • Μητρικές Πλακέτες - Motherboards
    • Κάρτες Γραφικών - GPUs
    • Μνήμες - Memory
    • Αποθηκευτικά Μέσα - Storage
    • Κουτιά - Cases
    • Τροφοδοτικά - PSUs
    • Συστήματα Ψύξης - Cooling
    • Αναβαθμίσεις - Hardware
  • Peripherals
    • Οθόνες
    • Πληκτρολόγια & Ποντίκια
    • Ηχεία - Headsets - Multimedia
    • Internet & Networking
    • General Peripherals
  • Overclocking Area
    • HwBox Hellas O/C Team - 2D Team
    • HwBox Hellas O/C Team - 3D Team
    • Hwbot.org FAQ/Support
    • Benchmarking Tools
    • General Overclocking FAQ/Support
    • Hardware Mods
  • Software Area
    • Operating Systems
    • Drivers Corner
    • General Software
    • General Gaming
  • The Tech Gear
    • Mobile Computing
    • Smartphones
    • Tablets
    • Digital Photography & Cameras
  • Off Topic
    • Free Zone
    • XMAS Contest
  • HWBOX Trade Center
    • Πωλήσεις
    • Ζήτηση
    • Καταστήματα & Προσφορές

Find results in...

Find results that contain...


Date Created

  • Start

    End


Last Updated

  • Start

    End


Filter by number of...

Joined

  • Start

    End


Group


Location


Homepage


Interests


Occupation


ICQ


AIM


Yahoo


MSN


Skype


CPU


Motherboard


GPU(s)


RAM


SSDs & HDDs


Sound Card


Case


PSU


Cooling


OS


Keyboard


Mouse


Headset


Mousepad


Console


Smartphone


Tablet


Laptop


Camera


Drone


Powerbank

Found 10 results

  1. Νέα μέτρα θέλουν να περιορίσουν τις σχέσεις της Huawei με μεγάλους chipmakers όπως τη TSMC που κατασκευάζει αρκετά προϊόντα Αμερικάνικων συμφερόντων. Την είδηση μεταδίδει το Reuters που αναφέρει μεταξύ άλλων ότι αυτή τη στιγμή υπάρχουν συζητήσεις της Ουάσινγκτον με το Πεκίνο και η πρώτη πλευρά επιθυμεί την έκδοση ειδικής άδειας για τη Huawei εάν θέλει να χρησιμοποιεί τα εργοστάσια της TSMC για τη κατασκευή chips, τα οποία χρησιμοποιούνται σε διάφορες συσκευές της με σημαντικότερη τα smartphones και δικτυακό εξοπλισμό. Οι ΗΠΑ εστιάζουν περισσότερο στο νεότερο πρότυπο 5G που έρχεται σε όλο περισσότερες περιοχές του κόσμου και αυτό λόγω των μεγαλύτερων φόβων για την ασφάλεια των δεδομένων αφού υπάρχουν νύξεις για τις κατασκοπευτικές ιδιότητες της Huawei. Η αποτροπή χρήσης των εργοστασίων της TSMC σημαίνει ότι η Huawei ίσως στραφεί στους εγχώριους κατασκευαστές chip που βρίσκονται πίσω από πλευράς λιθογραφιών όμως όπως υποστηρίζουν πηγές του Reuters η κυβέρνηση των ΗΠΑ ίσως να μη φτάσει σε αυτό το σημείο στο τέλος. Ο περιορισμός αυτός ενδέχεται βέβαια να έχει και αρνητικές επιπτώσεις προς τη πλευρά των ΗΠΑ αλλά αξιωματούχοι της χώρας δε πιστεύουν ότι θα υπάρξει τέτοιο θέμα αφού είναι έτοιμοι για ένα τέτοιο ενδεχόμενο, πιθανόν με την αναδοχή της κατασκευής αρκετών προϊόντων σε άλλα εργοστάσια του κόσμου όπως της Κορέας. Το γεγονός αυτό ενδέχεται να στρέψει την Huawei στην ανάπτυξη νέων εγχώριων nodes όπως των 7nm που βρίσκεται πίσω από τον ανταγωνισμό αυτή τη στιγμή και η Κινέζικη HiSilicon που βρίσκεται πίσω από την ανάπτυξη των επεξεργαστών της Huawei ίσως ξεκινήσει να κατασκευάζει τα chips μέσα στη χώρα, κάτι που όπως δηλώνουν αναλυτές θα δημιουργήσει πονοκεφάλου στην Αμερικάνικη κυβέρνηση του Trump. Η TSMC επεμβαίνει στο θέμα λέγοντας πως δε μπορεί να συζητήσει υποθετικά σενάρια που εμπλέκουν κάποιον από τους πελάτες της. Υπενθυμίζεται ότι η Huawei μπήκε στη 'μαύρη λίστα' της κυβέρνησης των ΗΠΑ το περασμένο έτος και οι σχέσεις των δύο πλευρών δε δείχνουν να βαίνουν προς το καλύτερο ούτε τώρα, ενώ κάποια αποτελέσματα αυτών των περιορισμών είναι ήδη φανερά, όπως η απουσία των Google apps από μεγάλα flagships της Huawei μετά την σχετική απαγόρευση της κυβέρνησης Trump. Πηγή. Βρείτε μας στα Social:
  2. Στο concept βίντεο που αξίζει να δείτε, η Intel παρουσιάζει τον τρόπο με τον οποίο φτιάχνονται οι επεξεργαστές της. Ένα από τα εντυπωσιακά πράγματα στον χώρο του PC είναι και οι μέθοδοι κατασκευής αρκετών προϊόντων που θεωρούμε αρκετά δεδομένα. Ένα από αυτά είναι και ο επεξεργαστής που η Intel θεωρεί ότι είναι ψηλά στη λίστα των πιο πολύπλοκων σχεδίων και προϊόντων του κόσμου αυτή τη στιγμή και για αυτόν τον λόγο δημιούργησε ένα βίντεο πέντε λεπτών σχετικά με το πως κατασκευάζεται ένα τέτοιο προϊόν από το μηδέν. Η αρχή γίνεται με μια ιδέα, ένα σχέδιο που έχουν οι μηχανικοί στην Intel και ουσιαστικά αποτελούν την ομάδα σχεδίασης της εκάστοτε αρχιτεκτονικής, που είναι πρακτικά υπεύθυνοι για τη 'στοίχιση' των τρανζίστορ στο εσωτερικό του επεξεργαστή. Από εκεί γεννιούνται τα πρώτα blueprints των σχεδίων και σύμφωνα με την Intel κάθε επεξεργαστής ενσωματώνει πολλές από αυτές τις κατόψεις σε στρώσεις κάτι που πηγάζει από την FinFET μέθοδο κατασκευής που χρησιμοποιεί μέχρι και σήμερα. Αυτή η μέθοδος περιλαμβάνει και διαδρόμους κάθετα ενώνοντας έτσι τους διαφορετικούς 'ορόφους' ενός μοντέρνου CPU. Στη συνέχεια έρχεται το masking, δηλαδή η δημιουργία μιας μάσκας την οποία στέλνει στα εργοστάσια παραγωγής. Η Intel είναι μια από τις εταιρίες που έχει τα δικά της εργοστάσια και έτσι το περιβάλλον και ο τρόπος λειτουργίας είναι πιο ελεγχόμενος από άλλες εταιρίες του χώρου που στέλνουν τα προϊόντα τους σε εργοστάσια τρίτων. Το masking είναι ουσιαστικά η δημιουργία stencil από τα οποία θα περάσει το φως κατά τη 'φωτογράφηση' του wafer στο εργοστάσιο, μια μέθοδος που ονομάζεται φωτολιθογραφία και επαναλαμβάνεται τόσες φορές, όσα και τα συνολικά layers ενός σύγχρονου επεξεργαστή που σύμφωνα με την Intel μπορεί να φτάσει και τα 50 στο σύνολο. Η συνέχεια περιλαμβάνει τη δοκιμή των dies που δημιουργούνται από το wafer πυριτίου σε ειδικά μηχανήματα ενώ στη πορεία και μετά τη διαλογή των 'καλών' και λειτουργικών dies γίνεται η τοποθέτηση επάνω στο package μαζί με το heatspreader για τη καλύτερη ψύξη του chip φτάνοντας αισίως στη μορφή που τους βρίσκουμε μέσα στη συσκευασία. Πηγή. Βρείτε μας στα Social:
  3. Η εταιρία βγαίνει στο προσκήνιο λέγοντας πως η Intel θα είναι η αιτία που δε θα πετύχει τους αναμενόμενους στόχους της για το 2019. Το Reuters μεταδίδει σήμερα μια ιδιαίτερη είδηση από έναν από τους μεγαλύτερους κατασκευαστές PC του κόσμου, την Dell. Η εταιρία δείχνει να 'τα βάζει' με την Intel και ο Jeffrey Clarke, διευθυντής το εκτελεστικό κομμάτι της εταιρίας μίλησε ανοικτά για το ζήτημα της μικρής διαθεσιμότητας της 'μπλε' εταιρίας, πράγμα που έρχεται να επηρεάσει τον ρυθμό που κατασκευάζονται τα PC της Dell. Η Intel βρίσκεται σε μεγάλη πίεση το τελευταίο διάστημα και μια από τις πρόσφατες κινήσεις για καθησυχασμό του πλήθους ήταν το απολογητικό γράμμα προς τους συνεργάτες της, στο οποίο αναφέρει τις δυσκολίες που έχει η παραγωγή των 10nm και των προϊόντων που θα βασίζονταν σε αυτή τη λιθογραφία, τα οποία ακόμη δεν έχουν κυκλοφορήσει στους αριθμούς που ευελπιστούσε. Ως εκ τούτου ο COO της Dell Jeffrey Clarke δήλωσε πως η έλλειψη αυτή έχει επηρεάσει τα έσοδα της εταιρίας τα τελευταία τρίμηνα, πράγμα που αναμένεται να συνεχιστεί και τους επόμενους μήνες. Πηγή. Βρείτε μας στα Social:
  4. Πριν από λίγες ώρες η αντιπρόεδρος επιχειρήσεων της NVIDIA Debora Shoquist επιβεβαίωσε πως οι κάρτες γραφικών της εταιρίας κατασκευάζονται στην TSMC και τη Samsung, για άλλη μια φορά. Μετά τις πρόσφατες αναληθείς φήμες πως οι SUPER κατασκευάζονται σε εργοστάσια της Samsung, η NVIDIA φαίνεται πως έρχεται για να ξεκαθαρίσει το τοπίο προσφέροντας updates σε μερικά media του χώρου. Πιο ειδικά ανέφερε πως η TSMC να παραμένει αρκετά ψηλά στη λίστα προτίμησης της NVIDIA αλλά η τεχνογνωσία της Samsung είναι το ίδιο μεγάλη μιας η κορεάτικη εταιρία ασχολείται κυρίως με χαμηλού TDP και κατανάλωσης chips, όπως αυτά των κινητών τηλεφώνων. Με τη πολυπλοκότητα των smartphone CPUs να αυξάνεται με τον καιρό (βλ. chips της Huawei και της Apple), η Samsung έχει αποκομίσει αρκετά από τη κατασκευή τους, ενώ αξίζει να υπενθυμίσουμε πως στον τομέα του PC hardware μας είχε 'απασχολήσει' όταν ανέλαβε τη κατασκευή της entry level GTX 1050 για λογαριασμό της NVIDIA τρία περίπου χρόνια πριν, μια GPU που κατασκευαστικά ήταν το πιο περίπλοκο και υψηλού TDP chip που ίσως είχε κατασκευάσει για την αγορά. Η αντιπρόεδρος των επιχειρήσεων της NVIDIA ανέφερε στη συνέχεια ότι η εταιρία επιβλέπει τις μεθόδους ολοκλήρωσης της TSMC και της Samsung αρκετά στενά και θα συνεχίσει στο μέλλον να χρησιμοποιεί και τις δύο στο κατασκευαστικό κομμάτι. Πηγή. Βρείτε μας στα Social:
  5. Πηγές αναφέρουν πως η 'δεύτερης γενιάς' μητρικές με το H310 επιστρέφουν στα 22nm, μια λιθογραφία που ήρθε για πρώτη φορά στο προσκήνιο το 2013 με την Haswell αρχιτεκτονική. Αναφορές κάνουν λόγο για μετάβαση της Intel στα 22nm λόγω της μικρής παραγωγής που υπάρχει στα chips των 14nm. Ήδη παρατηρήσαμε αύξηση τιμών σε ορισμένα mainstream Coffee Lake SKUs στην καταναλωτική αγορά κάτι που όπως λέγεται οφείλεται στα λίγα κομμάτια που είναι διαθέσιμα στην αγορά. Αυτό σε συνδυασμό με την αργοπορία της επόμενης λιθογραφίας των 10nm δημιουργεί πονοκεφάλους στα στελέχη της Intel που προσπαθούν να βρουν τρόπους για διατήρηση της παραγωγής σε σταθερά επίπεδα με χαρακτηριστικό παράδειγμα το outsourcing στην TSMC. Μέσω του ασιατικού mydrivers.com βλέπουμε οπτικές διαφορές μεταξύ των δύο chipsets, με το ένα να κατασκευάζεται στα 14nm (τετράγωνο die) και το δεύτερο στα 22nm (ορθογώνιο) που θυμίζει μάλιστα επεξεργαστές της γενιάς των Haswell. Αν και το μέγεθος των dies δεν αποτελεί σημαντική πληροφορία για τον απλό κόσμο, το Tom's Hardware επιβεβαίωσε τις πληροφορίες για την εν λόγω αλλαγή στα 22nm με κατασκευαστές μητρικών. Σημαντική είναι και η υποστήριξη για Windows 7 στο νέο revision του chipset που προκύπτει από τους κατασκευαστές μητρικών και όχι από 'γραμμή' της Intel και γίνεται λόγω της ζήτησης που υπάρχει ιδίως στην ασιατική αγορά. Τέλος η διάθεση του νέου revision θα ξεκινήσει μέχρι και τα μέσα Οκτωβρίου στην αγορά. Πηγή. Βρείτε μας στα Social:
  6. Με την αγορά NAND να αποτελεί τη βασικότερη κινητήρια δύναμη της Toshiba, η εταιρία ενδέχεται να προχωρήσει σε διαχωρισμό της παραγωγής σε ξεχωριστή εταιρία κάτι που θα ωφελήσει την WD. Η κίνηση σύμφωνα με αναφορές έρχεται μετά τους συνεχείς λάθος χειρισμούς της Toshiba και η Western Digital θα είναι αυτή που θα ωφεληθεί περισσότερο, λόγω της κοινοπραξίας Flash Forward NAND που έχει με την Toshiba στη σχεδίαση και παραγωγή NAND flash μνημών για SSDs. Η WD έχει ήδη ξεκινήσει να σημειώνει κέρδη από την αγορά των SSD που μόλις πρόσφατα έχει μπει οπότε η Toshiba θα βοηθήσει ακόμη περισσότερο στην ανάπτυξη. Η Toshiba με αυτό θα δημιουργήσει με γρήγορο τρόπο (aka ρευστοποίηση) 2.5 δις δολάρια ούτως ώστε να ανακάμψει από τις οικονομικές δυσκολίες. Η παραγωγή ημιαγωγών της Toshiba αντιστοιχεί στο 80% των λειτουργικών κερδών της εταιρίας. Πηγή. Βρείτε μας στα Social:
  7. [NEWS_IMG=Η τιμή του 6700K κοντά στην MSRP μετά από 9 μήνες κυκλοφορίας]http://www.hwbox.gr/images/news_images/intel3.jpg[/NEWS_IMG] Τα yields των 14nm της σε συνδυασμό με το άφθονο stock βοηθούν τις τελικές τιμές των δύο ξεκλείδωτων επεξεργαστών Skylake της Intel. Σύμφωνα με έρευνα του Anandtech ο Core i7 6700K βρίσκεται στην αγορά, με τιμή κοντά στην MSRP που είναι $350 μετά από 9 μήνες κυκλοφορίας. Νωρίτερα, πολλά καταστήματα τόσο του εξωτερικού όσο και της Ελλάδας, διέθεταν τους 6700K και 6600K μόνο για προπαραγγελία και με τιμές που ξεπερνούσαν τα 400? σε πολλές περιπτώσεις. Για την ώρα δεν έχει γίνει γνωστό εάν η Intel παρέχει περισσότερα κομμάτια από το παρελθόν στα καταστήματα ή απλά έχει βελτιώσει την παραγωγή των 14nm μιας και το 2015 αντιμετώπιζε αρκετά θέματα με την απόδοση της συγκεκριμένης λιθογραφίας όπως επισημαίνει και το Anandtech. Για την σχεδίαση CPU στα 14nm (εκτός των Skylake υπάρχουν πολλά chip ακόμα) η Intel χρησιμοποιεί πλέον τέσσερα fabs σε διαφορετικά σημεία του κόσμου με στόχο να ικανοποιήσει την ζήτηση της αγοράς κάτι που συνάδει και με την αύξηση της gaming αγοράς. Επισημαίνεται πως τα 14nm έχουν έρθει για να "μείνουν" καθώς η Intel δεν αναμένεται να αλλάξει λιθογραφία ούτε στην επόμενη και μεθ' επόμενη γενιά επεξεργαστών, αναζητώντας τρόπο να βελτιώσει και να κάνει βιώσιμα τα 10nm που θα ακολουθήσουν στο μέλλον. [img_alt=Η τιμή του 6700K κοντά στην MSRP μετά από 9 μήνες κυκλοφορίας]http://www.hwbox.gr/members/2195-albums570-picture63512.png[/img_alt] [img_alt=Η τιμή του 6700K κοντά στην MSRP μετά από 9 μήνες κυκλοφορίας]http://www.hwbox.gr/members/2195-albums570-picture63511.png[/img_alt] [img_alt=Η τιμή του 6700K κοντά στην MSRP μετά από 9 μήνες κυκλοφορίας]http://www.hwbox.gr/members/2195-albums570-picture63510.png[/img_alt] Διαβάστε περισσότερα εδώ...
  8. [NEWS_IMG=TSMC: Στη παραγωγή θα μπουν τα 10nm μέσα στο 2016]http://www.hwbox.gr/images/news_images/general5.jpg[/NEWS_IMG] Ετοιμάζονται τα πρώτα waffers των 10nm, ενώ και τα 7nm που βρίσκονται στα σκαριά, θα βασίζονται σε παρόμοια λιθογραφία. O CEO της TSMC επιβεβαίωσε πως η ανάπτυξη πηγαίνει βάση σχεδίου και πως τα πρώτα chips στα 10nm θα μπουν σε μαζική παραγωγή στο τέλος του 2016. Επιπλέον, ανέφερε πως τα πρώτα δοκιμαστικά chip τα εμφανιστούν προς το τέλος του τρέχοντος έτους, ενώ οι προδιαγραφές των πελατών όπως της NVIDIA και της AMD θα τελειοποιηθούν αμέσως μετά. Παράλληλα μετά από τα 10nm η εταιρεία θα προβεί την ανάπτυξη και chip στα 7nm τα οποία όμως θα έχουν σαν βάση τμήματα των 10nm καθώς η κατασκευαστική μέθοδος έχει αρκετές δυσκολίες, όχι μόνο για την TSMC αλλά και για ολόκληρη την βιομηχανία. Τέλος, αξίζει να σημειώσουμε πως η κατασκευαστική μέθοδος των 7nm θα μπει στην παραγωγή μέσα στο 2018. [img_alt=45 λεπτά gameplay για το Assassinʼs Creed: Syndicate]http://www.hwbox.gr/members/2195-albums570-picture49882.png[/img_alt] Διαβάστε περισσότερα εδώ...
  9. [NEWS_IMG=Η ΙΒΜ παρουσιάζει το πρώτο chip στα 7nm]http://www.hwbox.gr/images/news_images/ibm.jpg[/NEWS_IMG] Δοκιμαστικά chip στα 7nm αποκαλύπτει η γνωστή κατασκευάστρια IBM και το καταφέρνει σε συνεργασία με άλλες εταιρείες του χώρου. Συγκεκριμένα η κοινοπραξία των εταιρειών που κατασκευάζουν ημιαγωγούς αποτελείται από τις GlobalFoundries, Samsung και SUNY Polytechnic Institute's Colleges of Nanoscale Science and Engineering οι οποίες ξεπέρασαν πολλές από τους κατασκευαστικούς περιορισμούς που αντιμετωπίζουν οι ημιαγωγοί στη εν λόγω λιθογραφία με μερικές καινοτόμες λύσεις. Αρχικά τονίζεται ότι χρησιμοποιήθηκε κράμα πυριτίου-γερμανίου (SiGe) αντί απλά πυρίτιο από το οποίο κατασκευάζονται τα σημερινά transistor, ενώ ενσωματώθηκε η λιθογραφία extreme ultraviolet (EUV). Φυσικά, η IBM έχει ήδη προβλέψει τα πιθανά transistor που μπορούν να "στριμωχτούν" στην μικρή επιφάνεια και αγγίζουν και τα 20 εκατομμύρια όπως αναφέρεται, ενώ επεξεργαστές βασισμένοι στα 7nm θα βρουν τον δρόμο τους σε μια ευρεία γκάμα προϊόντων, από smartphones μέχρι και διαστημόπλοια! [img_alt=Η ΙΒΜ παρουσιάζει το πρώτο chip στα 7nm]http://www.hwbox.gr/members/2195-albums625-picture49509.jpg[/img_alt] Διαβάστε περισσότερα εδώ...
  10. [NEWS_IMG=Gigabyte: Δείτε σε video πως κατασκευάζεται μια μητρική κάρτα!]http://reviews.hwbox.gr/news/gigabyte.jpg[/NEWS_IMG]Τα μέλη του Futurelooks.com είχαν την μοναδική ευκαιρία να επισκευτούν το Gigabyte Nanping factory κατά την διάρκεια της τεχνολογικής έκθεσης Computex 2010, έτσι ώστε να παρακολουθήσουν ζωντανά τα στάδια παραγωγικής διαδικασίας μιας μητρικής κάρτας. Κάτι που όταν καταφθάνει στα χέρια μας δείχνει τόσο απλό, χρειάζεται πολύ περισσότερη δουλειά από όσο χωράει η φαντασία σας! Το παραπάνω δεν αφορά φυσικά μόνο τα υποσυστήματα και το πολυάριθμο εργατικό δυναμικό, αλλά και την αυστηρά συντονισμένη προσπάθεια που επιβάλλεται προκειμένου να επιτευχθεί το επιθυμητό αποτέλεσμα. Νομίζω ότι αξίζουν πολλά συγχαρητήρια στην Gigabyte γιατί είναι ίσως η μόνη εταιρεία μέχρι στιγμής που επέτρεψε την καταγραφή video σε όλα τα στάδια παραγωγής. Απολαύστε το! Πατήστε πάνω στην φωτογραφία για να μεταφερθείτε στο video Διαβάστε περισσότερα εδώ...