Search the Community

Showing results for tags 'chips'.

The search index is currently processing. Current results may not be complete.
  • Search By Tags

    Type tags separated by commas.
  • Search By Author

Content Type


Forums

  • HWBOX | Main
  • HWBOX | Forum
    • HwBox.gr Ανακοινώσεις & Ειδήσεις
    • News/Ειδήσεις
    • Reviews
    • The Poll Forum
    • Παρουσιάσεις μελών
  • Hardware
    • Επεξεργαστές - CPUs
    • Μητρικές Πλακέτες - Motherboards
    • Κάρτες Γραφικών - GPUs
    • Μνήμες - Memory
    • Αποθηκευτικά Μέσα - Storage
    • Κουτιά - Cases
    • Τροφοδοτικά - PSUs
    • Συστήματα Ψύξης - Cooling
    • Αναβαθμίσεις - Hardware
  • Peripherals
    • Οθόνες
    • Πληκτρολόγια & Ποντίκια
    • Ηχεία - Headsets - Multimedia
    • Internet & Networking
    • General Peripherals
  • Overclocking Area
    • HwBox Hellas O/C Team - 2D Team
    • HwBox Hellas O/C Team - 3D Team
    • Hwbot.org FAQ/Support
    • Benchmarking Tools
    • General Overclocking FAQ/Support
    • Hardware Mods
  • Software Area
    • Operating Systems
    • Drivers Corner
    • General Software
    • General Gaming
  • The Tech Gear
    • Mobile Computing
    • Smartphones
    • Tablets
    • Digital Photography & Cameras
  • Off Topic
    • Free Zone
    • XMAS Contest
  • HWBOX Trade Center
    • Πωλήσεις
    • Ζήτηση
    • Καταστήματα & Προσφορές

Find results in...

Find results that contain...


Date Created

  • Start

    End


Last Updated

  • Start

    End


Filter by number of...

Joined

  • Start

    End


Group


Location


Homepage


Interests


Occupation


ICQ


AIM


Yahoo


MSN


Skype


CPU


Motherboard


GPU(s)


RAM


SSDs & HDDs


Sound Card


Case


PSU


Cooling


OS


Keyboard


Mouse


Headset


Mousepad


Console


Smartphone


Tablet


Laptop


Camera


Drone


Powerbank

  1. Σε κοινή δήλωση, 18 κράτη μέλη της Ευρωπαϊκής Ένωσης θα συνεργαστούν για να επεκτείνουν το αποτύπωμα της Γηραιάς Ηπείρου στον χώρο της τεχνολογίας. Η δήλωση αναφέρεται στην σχεδίαση και την κατασκευή καινοτόμων ημιαγωγών και chips στην προηγμένη κατασκευαστική μέθοδο των 2nm, θέλοντας έτσι να επεκταθεί στον χώρο. Το μερίδιο αγοράς της ΕΕ στον χώρο των ημιαγωγών είναι περίπου στο 10% σύμφωνα με έρευνες ($533 δις) και στόχος της είναι να γίνει πιο ανταγωνιστική με προϊόντα που είναι αντάξια της οικονομικής της δύναμης. Οι τεταμένες σχέσεις μεταξύ ΗΠΑ και Κίνας τα τελευταία δύο χρόνια (τουλάχιστον όσον αφορά τον χώρο των ημιαγωγών), παίζουν σίγουρα κάποιον ρόλο σε αυτήν την απόφαση των 18 κρατών μελών της Ένωσης, ενώ αξίζει να σημειωθεί ότι υπάρχουν πρωτοβουλίες όπως το European Processor Initiative που ήδη έχει βλέψεις για επέκταση στο high performance computing με projects στα οποία λαμβάνουν μέρος και άλλες μεγάλες εταιρίες όπως: Deutsche Bank, Siemens, Bosch, Telekom και η SAP. Για την ώρα μεγάλο μέρος των επεξεργαστών και συστημάτων του εμπορίου στην Ευρώπη είναι εισαγόμενο και ανήκει στις ήδη υπερδυνάμεις AMD και Intel, με ειδικές περιπτώσεις στην HPC αγορά να ανήκουν σε άλλες γνωστές αλλά λιγότερο δημοφιλείς εταιρίες στο ευρύ κοινό. Η Ευρώπη κατασκευάζει για την ώρα RF chips μεταξύ πολλών ακόμη χαμηλής κατανάλωσης ολοκληρωμένων κυκλωμάτων για πληθώρα συσκευών, όμως ο νέος στόχος περιλαμβάνει chips που θα εφαρμοστούν στον χώρο των υψηλών ταχυτήτων δικτύωσης, στα αυτόνομα οχήματα, τον εκσυγχρονισμό των συστημάτων υγείας των κρατών, την τεχνητή νοημοσύνη και τα data centers - με την λίστα να συνεχίζεται για πολύ ακόμα. Ο προϋπολογισμός για αυτή την κίνηση ανέρχεται στα 145€ δις που θα παρθούν από το ταμείο Πηγή Βρείτε μας στα Social:
  2. Σε σχετικό δελτίο τύπου αναφέρεται σε όλες τις κινήσεις που έχει κάνει τελευταία για να βελτιώσει την παραγωγή chips σε αυτή τη μέθοδο ολοκλήρωσης. Μετά από μια δύσκολη περίοδο η Intel δηλώνει έτοιμη να υποστηρίξει την επόμενη γενιά των προϊόντων της που θα βασίζονται στη λιθογραφία των 10nm. Έχοντας λίγα προϊόντα στη νέα σχεδίαση, συγκριτικά με τα 14nm όπου βασίζεται ολόκληρη η παραγωγή της η Intel ανακοίνωσε σε ένα βαρύγδουπο άρθρο νέες πληροφορίες για την λιθογραφία των 10nm και πως αυτή η σχεδίαση θα διατηρήσει την εταιρία 'σχετική' στον χώρο των PCs. Επί τρία χρόνια τώρα, η Intel διπλασίασε την παραγωγή των 10nm φέρνοντας με σταθερούς ρυθμούς περισσότερα προϊόντα σε αυτό το node και σύμφωνα με τον Keyvan Esfarjani, senior vice president and general manager of Manufacturing and Operations, "Η Intel θα συνεχίσει να επενδύει στην βελτίωση της παραγωγής ούτως ώστε να μπορέσει να ικανοποιήσει τις ανάγκες των πελατών της." Το 2020 ήταν ένα καλό έτος για την εταιρία όπου εισήγαγε την 11η γενιά Core επεξεργαστών (Tiger Lake) για φορητούς υπολογιστές, καθώς και τον Intel Atom P5900, ένα system on chip για wireless base stations που υποστηρίζουν την τεχνολογία 5G, οδεύοντας έτσι σε ένα ταχύτερο μέλλον. Οι βλέψεις για περισσότερα προϊόντα στα 10nm (όπως και την επέκταση SuperFin) υπάρχουν και πλέον με τους ειδικά διαμορφωμένους χώρους στα εργοστάσιά της θα μπορέσει να φέρει πολλά νέα προϊόντα σύντομα στην αγορά, ένας μεγάλος στόχος που έχει θέσει εδώ και καιρό. Για να συνοδεύσει αυτή την ανακοίνωση η Intel δημοσίευσε μαζί και ένα βίντεο δείχνοντας τις κινήσεις που έχουν γίνει τα τελευταία χρόνια - οπότε επισημαίνει ότι επειδή τα πλάνα είναι αρκετών ετών, πολλοί από τους ανθρώπους που βλέπουμε δεν παίρνουν τα απαραίτητα μέτρα προστασίας που είναι απαραίτητα στην μετά-Covid 19 εποχή. Πηγή Βρείτε μας στα Social:
  3. Στο concept βίντεο που αξίζει να δείτε, η Intel παρουσιάζει τον τρόπο με τον οποίο φτιάχνονται οι επεξεργαστές της. Ένα από τα εντυπωσιακά πράγματα στον χώρο του PC είναι και οι μέθοδοι κατασκευής αρκετών προϊόντων που θεωρούμε αρκετά δεδομένα. Ένα από αυτά είναι και ο επεξεργαστής που η Intel θεωρεί ότι είναι ψηλά στη λίστα των πιο πολύπλοκων σχεδίων και προϊόντων του κόσμου αυτή τη στιγμή και για αυτόν τον λόγο δημιούργησε ένα βίντεο πέντε λεπτών σχετικά με το πως κατασκευάζεται ένα τέτοιο προϊόν από το μηδέν. Η αρχή γίνεται με μια ιδέα, ένα σχέδιο που έχουν οι μηχανικοί στην Intel και ουσιαστικά αποτελούν την ομάδα σχεδίασης της εκάστοτε αρχιτεκτονικής, που είναι πρακτικά υπεύθυνοι για τη 'στοίχιση' των τρανζίστορ στο εσωτερικό του επεξεργαστή. Από εκεί γεννιούνται τα πρώτα blueprints των σχεδίων και σύμφωνα με την Intel κάθε επεξεργαστής ενσωματώνει πολλές από αυτές τις κατόψεις σε στρώσεις κάτι που πηγάζει από την FinFET μέθοδο κατασκευής που χρησιμοποιεί μέχρι και σήμερα. Αυτή η μέθοδος περιλαμβάνει και διαδρόμους κάθετα ενώνοντας έτσι τους διαφορετικούς 'ορόφους' ενός μοντέρνου CPU. Στη συνέχεια έρχεται το masking, δηλαδή η δημιουργία μιας μάσκας την οποία στέλνει στα εργοστάσια παραγωγής. Η Intel είναι μια από τις εταιρίες που έχει τα δικά της εργοστάσια και έτσι το περιβάλλον και ο τρόπος λειτουργίας είναι πιο ελεγχόμενος από άλλες εταιρίες του χώρου που στέλνουν τα προϊόντα τους σε εργοστάσια τρίτων. Το masking είναι ουσιαστικά η δημιουργία stencil από τα οποία θα περάσει το φως κατά τη 'φωτογράφηση' του wafer στο εργοστάσιο, μια μέθοδος που ονομάζεται φωτολιθογραφία και επαναλαμβάνεται τόσες φορές, όσα και τα συνολικά layers ενός σύγχρονου επεξεργαστή που σύμφωνα με την Intel μπορεί να φτάσει και τα 50 στο σύνολο. Η συνέχεια περιλαμβάνει τη δοκιμή των dies που δημιουργούνται από το wafer πυριτίου σε ειδικά μηχανήματα ενώ στη πορεία και μετά τη διαλογή των 'καλών' και λειτουργικών dies γίνεται η τοποθέτηση επάνω στο package μαζί με το heatspreader για τη καλύτερη ψύξη του chip φτάνοντας αισίως στη μορφή που τους βρίσκουμε μέσα στη συσκευασία. Πηγή. Βρείτε μας στα Social:
  4. Η Intel σε πρόσφατη ενημέρωση των επενδυτών ανακοίνωσε ορισμένα από τα σχέδιά της για το μέλλον των λιθογραφιών και πιο ειδικά για τα 10 και τα 7nm. Είναι εμφανές πως τα τελευταία χρόνια η Intel δυσκολεύεται να παράξει με μεγάλη επιτυχία chips στα 10nm, κάτι που έχει οδηγήσει την εταιρία στην αναβολή μερικών επεξεργαστών και την μικρή παραγωγή που περιορίζεται σε μερικά laptops. Η τελευταία είδηση έχει να κάνει με τις βλέψεις της Intel για τα 7nm τα οποία θα κυκλοφορήσει τρία χρόνια μετά τον ανταγωνισμό και μιλάμε φυσικά για την TSMC, που έχει έτοιμα σχέδια από φέτος με τη μορφή hardware τόσο για φορητές συσκευές όσο και για desktops με προϊόντα της AMD. Αυτό που αφήνεται να εννοηθεί από τα slides είναι πως τα 7nm της Intel θα προσφέρουν σημαντικά οφέλη σε σχέση με τα 10nm και τα 10nm+ που θα κυκλοφορήσουν αργότερα. Όσον αφορά τα 10nm, αυτά θα εμφανιστούν φέτος με τη μορφή των Ice Lake για τη consumer αγορά, ενώ το 2020 θα δούμε την energy efficienct παραλλαγή των 10nm+ με στόχο να προετοιμάσουν το έδαφος για την επόμενη λιθογραφία. Με αυτά κατά νου τα 7nm θα φτάσουν στα πρώτα προϊόντα το 2020 ενώ θα δώσουν τη σκυτάλη το 2021 στην energy efficienct παραλλαγή τους όμως μας είναι άγνωστο σε τι βαθμό θα βρίσκεται ο ανταγωνισμός τότε. Πηγή. Βρείτε μας στα Social:
  5. Η (Αγγλική) ARM αποχωρεί από τη συμφωνία της με την Huawei, μια κίνηση που θα επηρεάσει βαθιά το μέλλον της εταιρίας στα smartphones. Είναι γνωστό πως η Huawei κατασκευάζει πλέον τα δικά της SoC ονόματι Kirin εδώ και μερικά χρόνια, ωστόσο αυτό που δεν έχει γίνει ευρέως γνωστό είναι πως τα chips ενσωματώνουν instructions sets και σχεδίαση της ARM, της αρκετά γνωστής αγγλικής εταιρίας (με Ιάπωνες ιδιοκτήτες) που έχει σχεδιαστικό ρόλο στα chips χωρίς να εμπλέκεται με τη κατασκευή τους. Η Huawei είχε εκτενές licencing από την ARM όμως με τις τελευταίες εξελίξεις στην αντιπαράθεση ΗΠΑ - Κίνας η τελευταία θα αποχωρήσει από την συμφωνία της με στόχο να "συμμορφωθεί με την απόφαση της κυβέρνησης των ΗΠΑ", κυρίως γιατί αρκετά από τα προϊόντα της βασίζονται σε τεχνογνωσία των ΗΠΑ. Η συμφωνία δεν περιλαμβάνει μόνο το licencing τωρινών τεχνολογιών αλλά και μελλοντικών και έτσι προστίθεται στη λίστα των εταιριών του εμπάργκο, μαζί με τις Qualcomm, Intel και Google. Πηγή. Βρείτε μας στα Social:
  6. Το γεγονός ότι τα δημοφιλή στον χώρο του PC hardware, B-die chips 'φεύγουν' από την αγορά δεν είναι τραγικό αφού θα αντικατασταθούν σχεδόν άμεσα από νέα. Πλατφόρμες τόσο της Intel όσο και της AMD νιώθουν αρκετά 'άνετα' όταν στο σύστημα υπάρχουν εγκατεστημένες μνήμες με τα B-die της Samsung, ωστόσο οι νεότερες πληροφορίες αναφέρουν πως η εταιρία θα σταματήσει τη παραγωγή του παλιού αυτού κωδικού και θα δώσει τη θέση του στα νεότερα A-Die που ετοιμάζει εδώ και κάμποσο καιρό. Τι σημαίνει όμως αυτό για τη κοινότητα; Αρκετά μέσα εξέπεμψαν σήματα κινδύνου σχετικά με την αφαίρεση των B-die από το lineup της Samsung λέγοντας πως το overclocking θα περιοριστεί στις νέες μνήμες που θα βρεθούν σε DIMMs διάφορων κατασκευαστών όπως της G.Skill, της Corsair και δεκάδων ακόμη εταιριών. Τα A-Die δίνουν έμφαση στη χωρητικότητα παρά στη συχνότητα λειτουργίας ενώ κατασκευάζονται με βάση αρκετά πιο προηγμένη λιθογραφία από τις περισσότερες B-die μνήμες (10nm), αλλά αξίζει να σημειώσουμε ότι στη παραγωγή έχουν βρεθεί και αντίστοιχες (με λιθογραφία 2ης γενιάς) B-die πριν από μερικά χρόνια. Το μέλλον των A-Die όμως είναι αναμφίβολο και έρχονται τις περισσότερες φορές για να διπλασιάσουν τη χωρητικότητα ανά DIMM με σχέδια που ενσωματώνουν πιο ψηλό PCB και διπλή σειρά από chips στην μια τους πλευρά. Την ίδια στιγμή που τα A-Die's θα βρεθούν στη consumer αγορά με πυκνότητες που θα ξεκινούν από τα 16Gb ανά chip, ένας ακόμη τύπος που ξεπροβάλλει σιγά σιγά είναι αυτός των M-Die που ήδη έχουν κατακλύσει την αγορά των datacenters προσφέροντας τα ίδια θετικά των A-Die με σημαντικότερο τη χωρητικότητα. Οπότε περιμένουμε υψηλή απόδοχή από τα A-Die's ενώ παρά την παύση της παραγωγής των B-die αυτό το τρίμηνο, οι μνήμες δε θα στερέψουν άμεσα από την αγορά και ενδεχομένως θα δούμε τα τελευταία κομμάτια να φεύγουν προς το τέλος του έτους. Πηγή. Βρείτε μας στα Social:
  7. Επίσημα στοιχεία από το World Semiconductor Trade Statistics δείχνουν τις πωλήσεις επεξεργαστών κάθε είδους σε παγκόσμιο επίπεδο. Η πτώση σύμφωνα με το WSTS είναι η τέταρτη μεγαλύτερη που έχει σημειωθεί εδώ και 40 χρόνια στην αγορά συγκεντρώνοντας συνολικά $96,8 δις το πρώτο τρίμηνο, χαμηλότερα δηλαδή από τα $114,7 δις του προηγούμενου τριμήνου. Πιο ειδικά, το 1985 η αγορά τότε είχε δει μια μεγάλη πτώση του τζίρου κατά 18% ενώ η σημαντικότερη ίσως έγινε το πρώτο τρίμηνο του 2001 με ποσοστό πτώσης άνω του 20%. Ο John Neuffer, Πρόεδρος και διευθύνων σύμβουλος της Semiconductor Industry Association δήλωσε για την τωρινή πτώση: "Οι πωλήσεις τον Μάρτιο σημείωσαν πτώση από έτος σε έτος σε όλες τις μεγάλες αγορές και αρκετές κατηγορίες προϊόντων". Τώρα αυτή η είδηση δεν είναι απαραίτητα "πολύ άσχημα νέα" για την αγορά που βρίσκει τρόπους να ξεπεράσει τα όποια προβλήματα, ωστόσο χτυπάει και άλλες αγορές πέρα του PC hardware όπως τα smartphones, όπου και εκεί σημειώνεται πτώση λόγω των χαμηλών πωλήσεων αυτής της αγοράς τη τελευταία περίοδο. Ο κύριος υπεύθυνος όσον αφορά το PC hardware σύμφωνα με πηγές είναι η στάσιμη αγορά των επεξεργαστών και εν μέρει η Intel που διατηρεί μέχρι και σήμερα τα σκήπτρα στην αγορά από πλευράς πωλήσεων. Βέβαια ο ανταγωνισμός έχει επιφέρει αρκετές νέες κυκλοφορίες και φέτος αναμένεται να δούμε ακόμη περισσότερα μοντέλα να κυκλοφορούν από τους μεγάλους κατασκευαστές του χώρου όπως την Intel, την AMD μεταξύ άλλων. Πηγή. Βρείτε μας στα Social:
  8. Η Server αγορά των συστημάτων με ένα socket αποκτά νέο ενδιαφέρον αφού η Intel λανσάρει νέα Xeon CPUs με aggressive τιμολόγηση για τα δεδομένα της. Πιο συγκεκριμένα, ο λόγος για τη σειρά Gold U η οποία έχουν ως βάση την Skylake αρχιτεκτονική και τη λιθογραφία των 14nm FinFET της Intel. Η πιο κρίσιμη διαφορά τους σε σχέση με τους ακριβότερους Xeon είναι η έλλειψη του UPI link, ενός interface που βρίσκεται σε 2S (2 socket) συστήματα και επιτρέπει την άμεση επικοινωνία με το γειτονικό chip της μητρικής, οπότε αυτομάτως οι εν λόγω επεξεργαστές περιορίζονται για χρήση σε μονού socket μητρικές και μικρότερης ιπποδύναμης server μηχανήματα. Εν αρχή θα υπάρξουν τρία μοντέλα με πιο σημαντικό τον Xeon Gold 6212U ο οποίος θα έρχεται με 24 πυρήνες, 48 threads και προτεινόμενη τιμή $2000, όπως υποστηρίζουν πηγές. Το socket που θα τοποθετείται θα είναι το νεότερο LGA3647 ενώ η σειρά ολοκληρώνεται με τον πιο προσιτό Xeon Gold 6209U ο οποίος ενσωματώνει 20 πυρήνες, 40 threads και προτεινόμενη τιμή $1000. Σημειώνεται ότι οι τιμές δεν είναι ακόμη οι επίσημες. Όλοι τους θα πλαισιώνονται από εξακάναλο ελεγκτή μνημών αλλά και 48 PCIe lanes και αναμένεται να παραταχθούν απέναντι από τους EPYC-P Series της AMD που υπάρχουν και αυτοί στην single socket αγορά των servers. Πηγή. Βρείτε μας στα Social:
  9. Η εταιρία που βρίσκεται πίσω από την ανάπτυξη αρκετών δημοφιλών cloud based προγραμμάτων επιθυμεί να μπει στον χώρο των custom επεξεργαστών. Είναι αλήθεια ότι αρκετοί μεγάλοι κατασκευαστές σκέφτονται με τον ίδιο τρόπο και ήδη τη τελευταία δεκαετία βλέπουμε εταιρίες όπως η Samsung, η Google ακόμη και η Amazon να ενσωματώνουν επεξεργαστές δική τους σχεδίασης σε μερικά προϊόντα τους, εξυπηρετώντας διάφορους σκοπούς όπως καλύτερη υποστήριξη του software που τρέχει πάνω τους. Σε αυτό το μονοπάτι φαίνεται πως θέλει να μπει και η Adobe, δημιουργός αρκετά γνωστών επαγγελματικών προγραμμάτων όπως του Photoshop αλλά και του After Effects, ενώ δε θα πρέπει να ξεχνάμε πως από αυτή είδαμε τον Flash Player, το οποίο όμως έχει εγκαταλειφθεί από αρκετούς κατασκευαστές. Τρέχοντας το software σε ένα hardware που μπορεί να ελεγχθεί και να παραμετροποιηθεί είναι ένα μεγάλο θετικό στην ατζέντα της Adobe και ο σημαντικότερος λόγος που όσοι έχουν το budget πραγματοποιούν το εν λόγω βήμα. Μερικοί custom επεξεργαστές που έχουν τα features που θέλει η Adobe μπορεί να κάνει το software της εξαιρετικά πιο γρήγορο απ' ότι οι συμβατικές αρχιτεκτονικές που βλέπουμε από τις Intel και AMD, βοηθώντας έτσι αρκετούς επαγγελματίες. Τέλος, δεδομένων των δυσκολιών που έχει μια τέτοια κίνηση, δε περιμένουμε σύντομα κάποιον νέο custom επεξεργαστή από την Adobe, όμως αναμένουμε περισσότερα στο άμεσο μέλλον. Πηγή. Βρείτε μας στα Social:
  10. Micron και Cadence δημοσίευσαν μερικά στοιχεία για την ανάπτυξη του νέου τύπου μνήμης DDR5 που θα κληθεί κάποια στιγμή να αντικαταστήσει τις DDR4 του 2014. Η ανάπτυξη του νέου τύπου μνήμης αναπτύσσεται με κύρια προσοχή την αγορά των επαγγελματιών εκεί όπου απαιτούνται μεγάλα ποσά μνήμης. Από τα βασικά στοιχεία της νέας γενιάς σύμφωνα και με τις νέες πληροφορίες θα είναι η αύξηση στη πυκνότητα με την είσοδο νέων 16Gbit chips, που θα διπλασιάσουν τη χωρητικότητα των τωρινών μνημών ανά package. Η Cadence αναφέρει και μερικά συγκριτικά. Συγκρίνοντας DDR4 στα 3200MHz καθώς και DDR5 στα ίδια MHz υπάρχει μια αύξηση 1.36 φορές στις συνολικές επιδόσεις, ή 36%. Συνυπολογίζοντας ταυτόχρονα την αύξηση στη συχνότητα που θα επιφέρουν οι DDR5 (όπως τα 4800MHz που θα θεωρούνται κοινά) καθώς και τη μείωση της συνολικής κατανάλωσης που ενδιαφέρει περισσότερο data centers, η αύξηση στις επιδόσεις ενδέχεται να αγγίξει και τις 1.87 φορές έναντι της τωρινής γενιάς μνημών. Η διαθεσιμότητα αυτού του νέου τύπου σημειώνεται πως δε πρόκειται να ξεκινήσει πριν το 2020/21 και προϋποθέτει φυσικά την ύπαρξη κάποιας συμβατής γενιάς επεξεργαστών και πλατφορμών. Πηγή. Βρείτε μας στα Social:
  11. Chips στα 10nm θα μπουν σε μαζική παραγωγή μέσα στο 2019 και όχι φέτος σύμφωνα με τον CEO Brian Krzanich. Πιθανά προβλήματα αναγκάζουν την Intel να μεταθέσει την ημερομηνία μαζικής παραγωγής chips των 10nm για το 2019, κάτι που έγινε γνωστό μέσα από το keynote των οικονομικών αποτελεσμάτων Q1 2018 που ανακοίνωσε η εταιρία πριν από λίγες ώρες. Αναφερόμενος στην καλή χρονιά της Intel, ανέφερε πως τα συνολικά έσοδα αυξήθηκαν κατά 13% στα 16.1 δις δολάρια ενώ αναμένεται να ξοδέψει περί τα 2.5 δις δολάρια περισσότερο φέτος από τις αρχικές προβλέψεις. Στο keynote του CEO αναφέρεται και η καθυστέρηση στην μαζική παραγωγή chips των 10nm κάτι που ίσως επηρεάσει τις πωλήσεις της εκείνη την περίοδο. Πιο ειδικά, υψηλά ιστάμενα μέλη της Intel αναφέρουν πως τα 10nm είναι "λίγο too much" για την περίοδο παρά το γεγονός ότι τα 14nm που χρησιμοποιούνται τώρα σε αρκετούς επεξεργαστές της υπάρχουν στην αγορά από τα τέλη του 2014. Η Intel προσπαθεί με την νέα λιθογραφία να φέρει και μια νέα αρχιτεκτονική, όμως αυτό θα αργήσει ακόμη περισσότερο, με τις πηγές να αναφέρουν πως ίσως γίνει μέχρι το τέλος του 2019 ή στις αρχές του 2020. Από αυτά αντιλαμβανόμαστε πως υπάρχουν διάφορα προβλήματα παραγωγής που προβληματίζουν την Intel σε βαθμό που καθυστερούν τα σχέδιά της. Από την άλλη ο Krzanich τόνισε ιδιαίτερα το γεγονός ότι στην mobile πλευρά chips στα 10nm κυκλοφορούν ήδη (ίσως σε περιορισμένο αριθμό) φροντίζοντας να κατευνάσει τους επενδυτές λέγοντας πως εάν υπήρχε κάποιο πρόβλημα με την σχεδίαση, αυτά τα chips δε θα ήταν λειτουργικά. Έτσι οι Cannon Lake επεξεργαστές θα καθυστερήσουν και αυτοί αφού πρακτικά θα είναι οι πρώτοι desktop επεξεργαστές των 10nm. Πηγή. Βρείτε μας στα Social:
  12. Η Ταϊβανέζικη εταιρία ανακοίνωσε την κατασκευή ενός νέου εργοστασίου που θα αναλάβει την κατασκευή των πρώτων chips στα 5nm από το 2020. Η TSMC είναι γνωστή στον χώρο του IT κυρίως επειδή κατασκευάζει chips για δημοφιλείς εταιρίες όπως την Qualcomm και την NVIDIA τα τελευταία χρόνια. Η εταιρία εκτός του ότι ετοιμάζει πυρετωδώς τα πρώτα chips των 7nm - όπως τον Snapdragon 855 - βρίσκεται εντός πλάνου για την κατασκευή του πρώτου εργοστασίου που θα ασχοληθεί με chips 5nm και θα φέρει το όνομα Fab 18. Η έναρξη των εργασιών έγινε με σχετική τελετή στην Ταϊβάν από στελέχη της εταιρίας, ενώ ειπώθηκε πως η TSMC ακολουθεί πιο πιστά τον Νόμο του Μούρ όσον αφορά τον διπλασιασμό των transistors κάθε 18 μήνες. Η επένδυση που πραγματοποιεί ανέρχεται στα $25 δις, ένας αξιοσημείωτος αριθμός δείχνοντας πως η TSMC θα κρατήσει τους μεγάλους πελάτες της όπως την NVIDIA για επερχόμενα chip γραφικών αλλά και την qualcomm, βλέποντας την αγορά των smartphones να επιστρέφει τρομερά κέρδη. Πηγή. Βρείτε μας στα Social:
  13. Το Reuters αναφέρει πως η Κορεάτικη Samsung θα επενδύσει το αξιοσέβαστο ποσό των $18.6 δις σε chips και τεχνολογίες που θα εφαρμοστούν στον κόσμο του mobile στον οποίο παρατηρείται ραγδαία αύξηση. Η Samsung έχει ήδη την τεχνογνωσία για να φτιάξει κορυφαίας ποιότητας οθόνες, memory chips μερικά από τα οποία τα συναντάμε και στο consumer PC hardware αλλά και επεξεργαστές, έχοντας μάλιστα δική της ομάδα σχεδίασης αλλά και κατασκευής των συγκεκριμένων chip. Με όλα αυτά είναι λογικό η εταιρία να διαθέτει και αρκετά μεγάλα κεφάλαια που θα τη βοηθήσουν να εξελιχθεί επενδύοντας χρήματα για την εξέλιξή της. Σύμφωνα με πηγές η Samsung θα διαθέσει το ποσό των $18.6 δις για την ανάπτυξη SoC, OLED οθονών, Memory chip ανάμεσά τους και NAND Flash μιας και η ζήτηση είναι αυξημένη τα τελευταία χρόνια. Για το πρώτο τρίμηνο του 2017, από όλα τα memory chips που κυκλοφορούν το 40.4% ήταν κατασκευής της Samsung, ένα υψηλό ποσοστό δεδομένου ότι δεν είναι η μόνη στον χώρο. Ακόμη, οι OLED οθόνες που σίγουρα αποτελούν μια νέα αγορά για πολλούς κατασκευαστές αναμένεται να αυξηθεί, ενώ η Apple ήδη με το φημολογούμενο iPhone 8 έχει "κλείσει" περί τα 70 εκατομμύρια panel από την Κορεάτικη εταιρία. Πηγή. Βρείτε μας στα Social:
  14. Πηγές αναφέρουν τις βλέψεις της TSMC η οποία θα κατασκευάσει νέο εργοστάσιο για παραγωγή ημιαγωγών μεγέθους 5 και 3nm στο απώτερο μέλλον με στόχο την ανάπτυξη της αγοράς. Η Ταϊβανέζικη εταιρία αναμένεται μέσα στα επόμενα χρόνια να κατασκευάσει εργοστάσιο στη συγκεκριμένη περιοχή για τη σχεδίαση και υλοποίηση chip στα 5nm ενώ απώτερος στόχος της είναι τα 3nm κάτι που θα ωφελήσει τους κύριους "πελάτες" της όπως την Apple αλλά και την NVIDIA. Η εταιρία κατέχει μόνη τη στο 55% της αγοράς στη σχεδίαση ημιαγωγών, ένα αξιοσημείωτο ποσοστό ενώ το R&D της λειτουργεί αδιάκοπα για τη διατήρηση του ποσοστού σε όσο το δυνατόν πιο υψηλά επίπεδα. Το κόστος της κατασκευής του εργοστασίου στη Ταϊβάν θα ανέρχεται στα $15.7 δις. και θα έχει μέγεθος 129 μέχρι 197 στρέμματα! Η εκπρόσωπος της εταιρίας, Elizabeth Sun, δεν δήλωσε τις ακριβείς ημερομηνίες που θα λάβει χώρα η κατασκευή ούτε και η εκκίνηση της παραγωγής καθώς είναι αρκετά νωρίς να προβλεφθεί. Μαζί με τις δύο παραπάνω λιθογραφίες, η TSMC λέγεται πως έχει μια ομάδα 300 μηχανικών που αναπτύσσουν και αυτή των 2nm χωρίς να αναφέρεται σε συγκεκριμένη τεχνική κατασκευής μιας κι σε τόσο μικρές αποστάσεις, οι μηχανικοί "εξαντλούν" τους φυσικούς κανόνες, μεταβαίνοντας ουσιαστικά σε κάτι πιο "κβαντικό". Πηγή.
  15. Νέες εκδόσεις με υψηλότερα χρονισμένους πυρήνες αναμένεται να κυκλοφορήσουν σε πολλά high end smartphones από το επόμενο έτος! Έτσι συνολικά η MediaTek αυξάνει τον διαθέσιμο αριθμό των δεκαπύρηνων chips για smartphones στα 4! Μαζί με τον Helio X20, τον πρώτο 10-πύρηνο, και τον αμέσως επόμενο Helio X25 έρχονται να προστεθούν οι Helio X23 και Helio X27 οι οποίοι διαφέρουν μεταξύ τους μόνο στη συχνότητα των πυρήνων κάτι που αναμφίβολα θα βοηθήσει στην περαιτέρω βελτίωση των επιδόσεων σε πλήθος εφαρμογών. Ο Helio X23 ενσωματώνει δύο Cortex-A72 για τις βαριές εργασίες χρονισμένους στα 2.3GHz, τέσσερις Cortex-A53 στα 1.85GHz και άλλους τέσσερις στα 1.4GHz για πιο ελαφριές εργασίες. Το chip καλύπτεται και από μια ARM Mali-T880 MP4 GPU χρονισμένη στα 780MHz. Ο Helio X27 από την άλλη αποτελεί ότι καλύτερο για τη συγκεκριμένη σειρά με χρονισμούς που φτάνουν αντίστοιχα τα 2.6, 2.0 και 1.6GHz ενώ το ίδιο chip γραφικών τρέχει πλέον στα 875 MHz. Σημειώνεται πως τα chip υποστηρίζουν παράλληλα μνήμες LPDDR3 και μπορούν να οδηγήσουν οθόνες έως 2560x1600 pixels στα 60GPS ή 1080p στα 120FPS με H.265 αποκωδικοποιήση, δίκτυα 802.11ac WiFi, καθώς και LTE cat 6 για υψηλές ταχύτητες. Πηγή.
  16. [NEWS_IMG=Τα 10nm της Samsung θα μπουν στη παραγωγή το 2016]http://www.hwbox.gr/images/news_images/samsung3.jpg[/NEWS_IMG] Συνεχίζει τις δηλώσεις της η Samsung σχετικά με τις μελλοντικές λιθογραφίες, λέγοντας πως τα 10nm θα ξεκινήσουν χωρίς χρονοτριβές μέσα στο 2016. Η νέα λιθογραφία των 10nm της Samsung θα συνεχίζει να είναι σχεδίασης FinFET όπως ανέφερε, ενώ τα chip θα είναι πραγματικά πιο μικρά από τα αντίστοιχα των 14nm καθώς θα κατασκευάζονται με τις κατασκευαστικές μεθόδους 14LPE και 14LPP και όχι με τη παλιότερη BEOL των 20nm η οποία να θυμίσουμε χρησιμοποιούνταν στους SSD της Samsung. Παράλληλα η εταιρεία επιδεικνύει τα πρώτα wafers των 300mm δείχνοντας πως τα χαρακτηριστικά τους έχουν μερικώς οριστικοποιηθεί. Η Apple, ως ο μεγαλύτερος πελάτης της Samsung θα ωφεληθεί από την νέα λιθογραφία καθώς θα ενσωματωθεί στα νέα iPhones, βελτιώνοντας όχι μόνο τις επιδόσεις, αλλά και την αυτονομία όσων φορητών συσκευών χρησιμοποιούν chips στα 10nm FinFET. [img_alt=Τα 10nm της Samsung θα μπουν στη παραγωγή το 2016]http://www.hwbox.gr/members/2195-albums570-picture46092.png[/img_alt] Διαβάστε περισσότερα εδώ...
  17. [NEWS_IMG=Τέλος στις σχέσεις μεταξύ MediaTek και Xiaomi]http://www.hwbox.gr/images/news_images/general2.jpg[/NEWS_IMG] Η MediaTek βάζει τέλος στην σχέση της με την γνωστή κατασκευάστρια Xiaomi. Όπως φαίνεται από τις τελευταίες πληροφορίες, η Xiaomi θα σταματήσει να προμηθεύεται επεξεργαστές από την MediaTek αφού έχει στραφεί στην κατασκευάστρια SoC Leadcore Technology υπογράφοντας σχετική συμφωνία. Από την άλλη, οι DigiTimes θεωρούν πως η MediaTek θέλησε να επεκταθεί στην mid range και high end αγορά, όμως η Xiaomi στέκεται εμπόδιο στα σχέδιά της. Ως εκ τούτου σταματά να προμηθεύει chips στην τελευταία ενώ αν κοιτάξουμε λίγο πίσω στον Αύγουστο του 2013, θα συναντήσουμε τον MT6589T επεξεργαστή στα 1.5GHz ο οποίος παρόλο που προοριζόταν για την mid range αγορά, βρέθηκε να πωλείται μέχρι και στα $114 στο Redmi smartphone της Xiaomi. [img_alt=Τέλος στις σχέσεις μεταξύ MediaTek και Xiaomi]http://www.hwbox.gr/members/2195-albums460-picture37314.jpg[/img_alt] Διαβάστε περισσότερα εδώ...
  18. [NEWS_IMG=High Performance Server επεξεργαστές σχεδιάζει η Qualcomm]http://www.hwbox.gr/images/news_images/general1.jpg[/NEWS_IMG] Ήρθαν στο φως τα σχέδια της γνωστής εταιρείας. Από έγκυρες πηγές, γίνεται γνωστό πως η Qualcomm έχει στα άμεσα σχέδιά της, την κατασκευή Server επεξεργαστών υψηλών επιδόσεων βασισμένους στην αρχιτεκτονική ARM. Ο Steve Mollenkopf, διευθύνων σύμβουλος της Qualcomm είπε μάλιστα στην Wall Street Journal πως "θα μας πάρει λίγο καιρό μέχρι να οργανωθούμε σε αυτή την κατηγορία, όμως πιστεύουμε πως είναι μια ενδιαφέρουσα ευκαιρία που θα μας πάει μπροστά." Η Qualcomm δεν κατασκευάζει μόνο SOCs που βασίζονται σε αρχιτεκτονικές άλλων, όπως της ARM Holdings, αλλά αναπτύσσει και τις δικές της, δίνοντας στην εταιρεία μια μοναδική θέση στην συγκεκριμένη αγορά των server. Εκτός από τους δικούς της general-purpose πυρήνες επεξεργασίας, η Qualcomm σχεδιάζει τις δικές της GPUs που τοποθετούνται στα SoCs της. Κάτι που τονίζει το KitGuru είναι πως το γεγονός ότι η Qualcomm δουλεύει πάνω σε high performance Server chips θα ωφελήσει αργότερα και τους καταναλωτές, αφού η ίδια τεχνολογία θα "μεταφερθεί" και στις φορητές συσκευές μας, δηλαδή τα smartphones και τα tablets με το σχετικό "boost" των επιδόσεων σε συγκεκριμένες εφαρμογές. Είναι γνωστό πως η Qualcomm στην προσπάθεια της "εισόδου" της στην αγορά των server επεξεργαστών, θα βρει κι άλλους γνωστούς κατασκευαστές "μπροστά" της, όπως την AMD που ήδη έχει ανακοινώσει πως εργάζεται σε ARM based server επεξεργαστές, αλλά και την Marvell. [img_alt=High Performance Server επεξεργαστές σχεδιάζει η Qualcomm]http://www.hwbox.gr/members/2195-albums460-picture37225.jpg[/img_alt] [img_alt=High Performance Server επεξεργαστές σχεδιάζει η Qualcomm]http://www.hwbox.gr/members/2195-albums460-picture37224.jpg[/img_alt] [img_alt=High Performance Server επεξεργαστές σχεδιάζει η Qualcomm]http://www.hwbox.gr/members/2195-albums460-picture37223.jpg[/img_alt] Διαβάστε περισσότερα εδώ...
  19. [NEWS_IMG=Η Micron ανακοινώνει 8Gb DDR3 SDRAM]http://www.hwbox.gr/images/news_images/micron.jpg[/NEWS_IMG] Η Μicron ανακοίνωσε νέα μονολιθικά SDRAM chips 8Gbit DDR3 βασισμένα στην νέα λιθογραφία της εταιρείας. Η Micron Technology, ανακοίνωσε τα νέα της 8Gb monolithic DRAM τα οποία είναι χαμηλού κόστους, παρέχουν υψηλές χωρητικότητες και προορίζονται για απαιτητικές σε δεδομένα εφαρμογές. Ο Robert Feurle, vice president of compute and networking marketing at Micron δήλωσε: "Είμαστε προσηλωμένοι στην εργασία μας με τους συνεργάτες μας για να μεγιστοποιήσουμε την ευελιξία, να μειώσουμε το ρίσκο και να βελτιστοποιήσουμε το κόστος απόκτησης". Τα νέα chip κατασκευάζονται στην νέα λιθογραφική μέθοδο των 25nm της Micron και θα εμφανιστούν σε single die αλλά και dual die παραλλαγές όπως και 2 GB RDIMMs (dual rank), 64 GB LRDIMMs, 32 GB ECC SODIMMs και 16 GB VLP ECC UDIMMs. [img_alt=Η Micron ανακοινώνει 8Gb DDR3 SDRAM]http://www.hwbox.gr/members/2195-albums451-picture30578.jpg[/img_alt] Βρείτε μας και στα.. Διαβάστε περισσότερα εδώ...
  20. [NEWS_IMG=Be Quiet! Νέα προϊόντα αποκαλύπτονται]http://www.hwbox.gr/images/news_images/bequiet.jpg[/NEWS_IMG] Μετά την φετινή Computex 2014, η Be Quiet! ανακοινώνει μερικά νέα προϊόντα. Η γνωστή στους περισσότερους Be Quiet! διευρύνει τους ορίζοντές της με την παρουσίαση ενός νέου PC Case (ή πιο σωστά μιας νέας σειράς) με έμφαση στην ευκολία χρήσης/εγκατάστασης hardware με cable management και μελετημένο airflow design και HDD cages με γόμα για απορρόφηση των κραδασμών από τους μηχανικούς δίσκους είναι μερικά από τα χαρακτηριστικά που θα φέρουν τη Be Quiet! ένα βήμα πιο μπροστά στην επιτυχία. Φυσικά η συνταγή της επιτυχίας συνεχίζεται και με την είσοδο του Straight Power 10 τροφοδοτικού που είδαμε και στο περίπτερό της στην έκθεση. Η μονάδα ψύχεται από έναν ανεμιστήρα 135mm και έρχεται σε εκδόσεις 700W, 600W, 500W και 400W με την διάθεσή του να ξεκινά τον Σεπτέμβριο. Τέλος η εταιρία θα διαθέσει το Q4 2014 την Pure Rock ψύκτρα της για επεξεργαστές. Η συγκεκριμένη θα χρησιμοποιεί ανεμιστήρα 120mm σειράς Pure Wings 2, ενώ θα έρχεται με νέο σύστημα mounting. Η ψύκτρα θα είναι συμβατή με Intel και AMD chips και θα πωλείται στην τιμή των 30?. [img_alt=Be Quiet! Νέα προϊόντα αποκαλύπτονται]http://www.hwbox.gr/members/2195-albums430-picture29339.jpg[/img_alt] [img_alt=Be Quiet! Νέα προϊόντα αποκαλύπτονται]http://www.hwbox.gr/members/2195-albums430-picture29340.jpg[/img_alt] [img_alt=Be Quiet! Νέα προϊόντα αποκαλύπτονται]http://www.hwbox.gr/members/2195-albums430-picture29341.jpg[/img_alt] [img_alt=Be Quiet! Νέα προϊόντα αποκαλύπτονται]http://www.hwbox.gr/members/2195-albums430-picture29342.jpg[/img_alt] [img_alt=Be Quiet! Νέα προϊόντα αποκαλύπτονται]http://www.hwbox.gr/members/2195-albums430-picture29343.jpg[/img_alt] Διαβάστε περισσότερα εδώ...
  21. [NEWS_IMG=32 Layer 3D V-NAND Flash από τη Samsung]http://www.hwbox.gr/images/news_images/samsung.jpg[/NEWS_IMG] Η Samsung ξεκινά τη μαζική παραγωγή των πρώτων τρισδιάστατων μνημών 32-layer 3D V-NAND Flash οι οποίες χρησιμοποιούν 32 κάθετα τοποθετημένα στρώματα cell. Η εταιρεία πέρυσι είχε εισάγει την εν λόγω τεχνολογία στα data centers και τώρα την διανέμει στους high end υπολογιστές μεγαλώνοντας την εν λόγω αγορά. Ο Young-Hyun Jun, executive vice president, memory sales and marketing, Samsung Electronics είπε: "Αυξήσαμε την διαθεσιμότητα των νέων 3D V-NAND αποκαλύπτοντας ένα lineup δίσκων SSD που θα καλύψει περισσότερο την αγορά των υπολογιστών". "Σκοπός μας είναι να τροφοδοτήσουμε την αγορά με high performance και high density V-NAND SSDs και Chips για τους καταναλωτές και να βοηθήσουμε στην γρήγορη απορρόφηση της εν λόγω τεχνολογίας", συνέχισε. Οι SSDs με την τεχνολογία 3D V-NAND, έχουν τη διπλάσια αντοχή στα writes ενώ ταυτόχρονα καταναλώνουν 20% λιγότερη ενέργεια συγκρινόμενοι με τα "επίπεδα" (2D) MLC NAND chips. Μέσα στο έτος η Samsung θα εισάγει και μια premium σειρά δίσκων SSD βασισμένη σε αυτή την τεχνολογία δεύτερης γενιάς 3D V-NAND. [img_alt=32 Layer 3D V-NAND Flash από τη Samsung]http://www.hwbox.gr/members/2195-albums419-picture27074.jpg[/img_alt] Διαβάστε περισσότερα εδώ...
  22. [NEWS_IMG=Toshiba NAND Flash Memory: Ξεκινάει η μαζική παραγωγή νέας γενιάς μνημών]http://www.hwbox.gr/images/imagehosting/1354e6168f72b676.jpg[/NEWS_IMG]H Toshiba Corporation ανακοίνωσε σήμερα ότι ανέπτυξε την νέα τεχνολογία δεύτερης γενιάς των 19 nanometer, η οποία θα χρησιμοποιηθεί στη μαζική παραγωγή 2-bit-per-cell 64 gigabit NAND memory chips. Η εταιρεία κατάφερε να δημιουργήσει τα συγκεκριμένα πολύ μικρά σε μέγεθος chips μνήμης, η επιφάνεια των οποίων καταλαμβάνει μόλις 94 τετραγωνικά χιλιοστά. Χρησιμοποιώντας μια μοναδική και ταχύτατη μέθοδο εγγραφής τα νέα chips μπορούν να προσφέρουν ταχύτητες εγγραφής της τάξεως των 25 megabytes το δευτερόλεπτο. Η εταιρεία όμως, συνέχισε περαιτέρω τις προσπάθειές της και ανέπτυξε 3-bit-per-cell chips που βασίζονται στην ίδια τεχνολογία και θα εισέλθουν στη μαζική παραγωγή το δεύτερο τρίμηνο του τρέχοντος οικονομικού έτους. Συγκεριμένα θα παρουσιάσει αρχικά 3-bit, multi-level-cell προιόντα για smartphones και tablets με την ανάπτυξη ενός ελεγκτή συμβατού με eMMC και θα επεκτείνει την εφαρμογή τους και σε notebook PCs με την δημιουργία αντίστοιχου controller συμβατού με solid state drives. Κλείνοντας να μην αμελήσουμε να αναφέρουμε το σπουδαίο ρόλο που διαδραματίζουν οι NAND flash μνήμες σε memory cards, smartphones, tablets και notebook PCs. <center> [img_ALT=Toshiba NAND Flash Memory: Ξεκινάει η μαζική παραγωγή νέας γενιάς μνημών]http://www.hwbox.gr/members/5813-albums110-picture10070.jpg[/img_ALT]</center> Διαβάστε περισσότερα εδώ...
  23. [NEWS_IMG=Volcanic Islands: Η απάντηση της AMD στις GeForce GTX 700 Series]http://reviews.hwbox.gr/news/amd.jpg[/NEWS_IMG]Σε διαδικασία κατασκευής νέας μικροαρχιτεκτονικής καρτών γραφικών βρίσκεται, σύμφωνα με πληροφορίες η AMD. Γνωστή με την κωδική ονομασία "Volcanic Islands", η νέα αρχιτεκτονική αντλεί το όνομά της από τα δημοφιλή νησιά που βρίσκονται κατά μήκος του Pacific Ring of Fire και υποδεικνύει ότι η AMD επαναπροσεγγίζει το θέμα της ιεραρχίας των εξαρτημάτων στο εσωτερικό της GPU. Κατά την διάρκεια των τριών προηγούμενων γενεών καρτών γραφικών της εταιρείας, που χρησιμοποιούσαν αρχιτεκτονικές VLIW5, VLIW4 και Graphics CoreNext SIMD η "ιεραρχία" των εξαρτημάτων παρέμενε αμετάβλητη. Σύμφωνα με ένα πρώιμο διάγραμμα η εταιρεία φαίνεται ότι θα ορίσει παράλληλες και σειριακές computing units. Οι σειριακοί πυρήνες που θα είναι βασισμένοι στις δύο αρχιτεκτονικές που η εταιρεία έχει άδεια να χρησιμοποιεί (x86, ARM) θα μπορούν να διαχειριστούν μέρος του φορτίου γραφικών. Άν όντως το διάγραμμα που διέρρευσε είναι αυθεντικό, φαίνεται ότι η εταιρεία ετοιμάζει μεγάλες αλλαγές. Η πηγή που δημοσίευσε το διάγραμμα έδωσε στην δημοσιότητα και τα χαρακτηριστικά του chip που ακούει στο όνομα "Hawaii" και φαίνεται να αποτελεί flagship μέλος. 20 nm silicon fab process 4096 stream processors 16 serial processor cores 4 geometry engines 256 TMUs 64 ROPs 512-bit GDDR5 memory interface <center>[img_ALT=Volcanic Islands: Η απάντηση της AMD στις GeForce GTX 700 Series]http://www.hwbox.gr/members/5813-albums108-picture9679.jpg[/img_ALT]</center> Διαβάστε περισσότερα εδώ ...
  24. [NEWS_IMG=Intel Windows 8 tablets: Τον Νοέμβριο στα καταστήματα]http://www.hwbox.gr/images/imagehosting/1354e0b3c641f0e1.jpg[/NEWS_IMG]Ενώ η άφιξη των Windows 8 όλο και πλησιάζει, η προσοχή όλων στρέφεται τώρα στις πρώτες συσκευές που θα τρέχουν το ολόφρεσκο λειτουργικό σύστημα της Microsoft. Με την ʽRelease Previewʼ να αναμένεται σε μερικές εβδομάδες, δίνοντας ακόμη μια καλύτερη ματιά σχετικά με το τί πρόκειται να δούμε στο εσωτερικό του, μπορούμε με βεβαιότητα να πούμε ότι οι φανατικοί οπαδοί ήδη αναζητούν από τώρα το κατάλληλο hardware για να τρέξουν το σύστημά τους. Ακόμη δεν είναι πολύ ξεκάθαρο πότε η Microsoft θα κυκλοφορήσει τα Windows 8 αλλά το πιο πιθανό είναι στα μέσα Οκτωβρίου. Ωστόσο πληροφορίες από το CNET κινούνται στο ίδιο μήκος κύματος, συμφωνόντας με αυτήν την ημερομηνία ως πιθανή ημέρα κυκλοφορίας κάνοντας μάλιστα λόγο ότι τα πρώτα Intel-based Windows tablets θα είναι διαθέσιμα στα καταστήματα τον Νοέμβριο. Συγκεκριμένα αναφέρεται ότι όλες οι Intel συσκευές θα κάνουν χρήση των νέων επεξεργαστών της εταιρείας, Clover Trail. Πρόκειται για το πρώτο dual-core Atom chip της Intel αρχιτεκτονικής 32nm. Ο ανταγωνισμός αναμένεται να είναι ιδιαίτερα δριμύς, ειδικά με την κυκλοφορία του Android 5.0, την άφιξη του BlackBerry 10 OS και του iOS 6. [img_ALT=Intel Windows 8 tablets: Τον Νοέμβριο στα καταστήματα] http://www.hwbox.gr/images/imagehosting/23564fb1001447693.jpg[/img_ALT][img_ALT=Intel Windows 8 tablets: Τον Νοέμβριο στα καταστήματα]http://www.hwbox.gr/images/imagehosting/23564fb100143749a.jpg[/img_ALT] Διαβάστε περισσότερα εδώ...
  25. [NEWS_IMG=OMAP 4460 @ 1.5GHz κάνει την εμφάνισή του]http://reviews.hwbox.gr/news/general_it.jpg[/NEWS_IMG]Μια Ισραηλινή εταιρεία, η Variscite, βρίσκεται στην ευχάριστη θέση να σας ανακοινώσει το πρώτο της σύστημα, βασισμένο στο OMAP 4 4460 chip της Texas Instruments. Η εν λόγω εταιρεία ανέφερε αρκετό καιρό πριν ότι τέτοιες συσκευές θα κάνουν την εμφάνισή τους το Φθινόπωρο του 2011, κάτι που τελικώς φαίνεται να επιβεβαιώνεται. Εντούτοις θα πρέπει να περιμένουμε μέχρι να δούμε τα εν λόγω chips σε τηλέφωνα, tablets και άλλου είδους φορητές συσκευές, αλλά όπως και να το κάνουμε αυτό είναι μια καλή αρχή. Το μηχάνημα που παρουσιάστηκε από την Variscite περιλαμβάνει υποστήριξη για 1GB dual channel LPDDR2 μνήμη, το οποίο σίγουρα νικά κάθε DDR based ARM dual core chip που κυκλοφορεί ήδη στην αγορά και μπορεί να προβάλει full HD 1080p πειεχόμενο ακόμη και σε stereoscopic 1080 mode, περιλαμβάνοντας δυνατότητες encoding και decoding. Ακόμη και οι Tegra 3 aka Kal-El μπορεί να διαθέτουν τα γρηγορότερα γραφικά στην αγορά, αλλά δεν είμαστε απολύτως βέβαιοι κατά πόσο αυτά αποτελούν κλειδί στην απόφαση αγοράς ενός προιόντος εκ μέρους του καταναλωτή. Ο OMAP 4460 υποστηρίζει τέλος έως και 20Mpixel cameras, ενώ οι πρώτες εντυπώσεις είναι αρκετά καλές. [img_ALT=OMAP 4460 @ 1.5GHz κάνει την εμφάνισή του] http://www.hwbox.gr/images/imagehosting/26294e9d45dfc0520.jpg[/img_ALT] Διαβάστε περισσότερα εδώ...